Close

Simulated TRIADOR 2

A project log for Homebrew ternary computer

TRIADOR: The only ternary computer made for real in the past 50 years.

shaosSHAOS 07/01/2018 at 06:130 Comments

In last log I forgot to mention other 2 "fake" ternary blocks that were used in TRIADOR simulation in Logisim. In real life ternary selector can work both as multiplexer and as demultiplexer (because it's physically connecting wires and it doesn't matter which way current is flowing), but in Logisim it has to be 2 separate circuits, so this is ternary demux for Logisim:

and this one is "ternary clock" (only one instance of it exists in TRIADOR model for Logisim):

It produces clock sequence ONOPONOP (in real circuit simple sine or triangle signal will do the same).

Also that Logsim model has a few "true ternary" circuits built from muxes (with help of my DDT ternary synthesis tool). For example half adder:

Full adder:

Ternary ROM ;)

and even converter from triad to 7-segment indicator (as per previous log):

P.S. e12 and e21 are simplified ternary muxes where 2 first or 2 last inputs are identical:

In 2010 I called them "Element 12" and "Element 21" (or XYY and XXY) and they are existing by itself because it's simpler than full-scale ternary mux - one DG403 chip could be connected as 2 E12 or as 2 E21 (when 2 DG403 can do only 2 ternary muxes):

Discussions