Close

Install Xilinx ISE Design Suite

A project log for Spartan-6 FPGA Hello World

My first FPGA project using Technolomaniac's board

mike-szczysMike Szczys 09/01/2014 at 16:220 Comments

Xilinx offers software for Windows or Linux. I'm a Linux-only person so I went that route. Here's how I set up the ISE Design Suite under Linux Mint 17:

Download the installer

I downloaded the "ISE Design Suite" "Full Installer for Linux" from the middle of this page: http://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/design-tools.html

The package is about 6.8 GB so download ahead of time.

Unpack and Install

1) untar the install package to a large partition

2) edited the "xsetup" file so that it reads "#!/bin/bash" at the top of the file

3) run "sudo ./xsetup" and the GUI installer will run

4) I chose not to install to the /opt directory. <strikethrough>If you want it installed there you either need to run the installer as sudo or create the Xilinx directory and set permissions to allow your user account to write to it.</strikethrough> You need to run the installer as sudo or it will bomb out at the end of the process.

5) The installer will take a very long time to complete. In my case it was about 75 minutes.

Realy Xilinx, Really? (Part 2)

I went through the entire installation process (75 minutes) and at the end was greeted with a pop-up that said "driver installation failed because you don't have root priviledges". No problem, I'll just install the cable drivers as sudo and fix it! Nope, when I went to do that it told me that the Xilinx directory already existed and I would need to choose a different location. Really Xilinx? Doesn't it seem like you should perform the root privilege test at the beginning of the process instead of bombing out at the end?

Discussions