Close

Installing iCEcube 2 on ubuntu 14.04.2 LTS

A project log for DIPSY

DIY System on Chip based on FPGA, priced below 5 USD

christophChristoph 08/28/2015 at 22:062 Comments

If you want to do HDL synthesis, you need a tool for it. Lattice offers iCEcube 2 for our cute FPGA, which is available for Windows and linux. The windows release worked out of the box, but the guys at lattice picked the wrong file extension for the linux download.

So, if you just downloaded " iCEcube2_2015_15-04.tgz" and your archive manager complains about an apparently damaged file, simply change the extension to ".gz", extract, and run the installer.

One more thing: the installed software might complain about missing shared libraries. I didn't have any problems after installing the i386 variants, such as

sudo apt-get install libxext6:i386
repeat a couple of times and run!

(I found the renaming tip in the comments to this post: http://hackaday.com/2015/08/27/learning-verilog-for-fpgas-hardware-at-last/ so thanks to those who found out)

Discussions

signalius wrote 01/05/2019 at 21:42 point

  Are you sure? yes | no

wolfgangouille wrote 04/26/2020 at 06:29 point

Thank you so much I was going crazy.

  Are you sure? yes | no