Close

Front Panel Running

A project log for 8 Bit Computer Front Panel

VHDL code for I2C Control of Front Panel with 32 pushbuttons and 32 LEDs

land-boardscomland-boards.com 06/15/2021 at 01:500 Comments

I got the IOP16 running and controlling the front panel.

It's currently looping back the pushbuttons to the LEDs. Here is the timing diagram for the I2C transfer (pair).

I2C Transfer - Read Pushbutton/Write LEDs

That's < 200 uS for read/write cycle.

Reads 4 banks, writes 4 banks in < 800 uS. Faster than 1 mS refresh rate. - better than the human eye. Good enough. 400 KHz transfers work at 3.3V (and 5V of course).

Created a branch in GitHub with the loopback working. If that's all you need, that will work. Push a button, turn on an LED. No debouncing of the pushbuttond, etc.

The firmware the IOP16 runs is FP01_LOOP1.

Discussions