Close

Finally a use for the CD4017: A clock generator

A project log for NE555 Dice Inversion

A modern-day reimagining of the NE555/CD4017 electronic dice

timTim 12/20/2021 at 22:340 Comments

Well, now that the job of the CD4017 in the dice is taken by the NE555, what can we do with the CD4017? Indeed, there is a perfect match for using it has a generator for the two non-overlapping clocks:

The circuit above will convert a single clock signals into two non-overlapping clocks. Exactly as needed for the NE555 logic. A simulation of this circuit is shown below. The signal in the lower row is the clock input.
Things are coming together nicely...

Discussions