sys_tim011_mercury.bit

Load into Mercury + baseboard. Only switch(0) is active - set to on to allow sampling. Buttons move TIM window on VGA screen.

- 146.13 kB - 11/30/2020 at 05:04

Download

sys_tim011_anvyl.bit

Load into Anvyl. Set all switches to off. Button 0 scrolls (direction is switch(0)), button 3 is reset, button 2 is test pattern. Note that the external crystal is now 96MHz!

- 1.42 MB - 11/30/2020 at 05:02

Download